Clock – Timer Projects

digital clock1

Clock with Tics (presentation prototype) using Arduino

Clock with Tics is an Arduino-powered 24-hour digital clock that displays expletives at random intervals. A clock ‘ticks’. A ‘tic’ (note the different spelling) can be a mental disorder and can manifest itself in a number of ways; most seriously, someone suffering from “Tourette’s Syndrome” will blurt out swearwords involuntarily. I had thought of titling

Clock with Tics (presentation prototype) using Arduino Continue Reading

Scroll to Top